vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc

vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc

ID:10503982

大小:3.00 MB

页数:33页

时间:2018-07-07

vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc_第1页
vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc_第2页
vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc_第3页
vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc_第4页
vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc_第5页
资源描述:

《vhdl课程设计简单处理器的设计与仿真大学毕设论文.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、前言11、设计任务22、设计说明32.1处理器原理图及其组成32.2数据传输及加减法的实现32.3处理器所支持的指令及功能说明、指令的编码规则42.4指令执行的时序控制43.处理器指令实现的功能及其具体描述63.1mvRx,Ry63.2mviRx,#D73.3addRx,Ry和subRx,Ry84单元模块设计说明、VHDL代码及其仿真104.1寄存器RX104.2寄存器A114.3加/减法器addsub124.4寄存器G134.5指令寄存器IR144.6计数器upcount154.7复用器multi164.8控制单元control184.9控制指令输入转换模块264.1016*16点阵

2、显示控制模块275处理器各个模块的连接及处理器功能仿真295.1处理器各个模块的连接295.2处理器功能仿真295.2.1立即数赋给寄存器R0295.2.2立即数赋给寄存器R1295.2.3寄存器R0的值赋给寄存器R2295.2.4寄存器R1的值赋给寄存器R3295.2.5立即数赋给寄存器R4295.2.6寄存器R0加上R4赋给R0305.2.7寄存器R1加上R4赋给R1305.2.6寄存器R0加上R4赋给R0305.2.7立即数赋给寄存器R5305.2.8寄存器R4减去R5赋给R4305.2.9寄存器R4减去R0赋给R4306处理器实现的功能与操作说明316.1处理器实现的功能316

3、.2处理器相关的操作说明317课程设计总结328附录……………………………………………………...……………………………………34-33-前言VHDL的英文全名是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。  VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个

4、元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。与其他硬件描述语言相比,VHDL具有以下特点:(1)功能强大、设计灵活VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VHDL还支持各种设计方法

5、,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。(2)支持广泛、易于修改由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。(3)强大的系统硬件描述能力VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建

6、立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。(4)独立于器件的设计、与工艺无关设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。(5)很强的移植能力VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。(6)易于共享和复用VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放

7、到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。-33-1、设计任务用VHDL设计一个简单的处理器,并完成相关的仿真测试。2、设计说明2.1处理器原理图及其组成图1是一个处理器的原理图,它包含了一定数量的寄存器、一个复用器、一个加法/减法器(Addsub),一个计数器和一个控制单元。图1简单处理器的电路图2.2数据传输及加减法的实现数据传输实现过程:16位数据从DIN输入到系统中,可以通过复用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。