高速pcb设计中的时序分析以及仿真策略

高速pcb设计中的时序分析以及仿真策略

ID:10480096

大小:62.00 KB

页数:6页

时间:2018-07-06

高速pcb设计中的时序分析以及仿真策略_第1页
高速pcb设计中的时序分析以及仿真策略_第2页
高速pcb设计中的时序分析以及仿真策略_第3页
高速pcb设计中的时序分析以及仿真策略_第4页
高速pcb设计中的时序分析以及仿真策略_第5页
资源描述:

《高速pcb设计中的时序分析以及仿真策略》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、高速PCB设计中的时序分析以及仿真策略.freelargin。在图1的时序图中,所有箭头线路表示数据信号和时钟信号在芯片内部或传输线上产生的延时,在下面的箭头线路表示从第一个时钟边沿有效至数据到达RECEIVER输入端的总延时,在上面的箭头线路表示接收时钟CLKA的总延时。从第一个时钟边沿有效至数据到达RECEIVER输入端的总延时为:TDATA_DELAY=TCO_CLKB+Tflt_CLKB+TCO_DATA+Tflt_DATA接收时钟CLKA下一个周期的总延时为:TCLKA_DELAY=TCYCLE+TCO_CLKA+Tf

2、lt_CLKA要满足数据的建立时间则必须有:TCLKA_DELAY_MIN-TDATA_DELAY_MAX-Tsetup-Tmargin0展开并考虑时钟的抖动Tjitter等因素整理后得到:TCYCLE+(TCO_CLKA_MIN-TCO_CLKB_MAX)+(Tflt_CLKA_MIN-Tflt_CLKB_MAX)-TCO_DATA_MAX-Tflt_DATA_SETTLE_DELAY_MAX-Tjitter-Tsetup-Tmargin0(1)式(1)中TCYCLE为时钟的一个时钟周期;第一个括号内是时钟芯片CLOCKBUF

3、FER输出时钟CLKA、CLKB之间的最大相位差,即手册上称的output-outputskeeas(或VREF)阈值的时间间隔,TCO_DATA的大小与芯片内部逻辑延时、缓冲器OUTPUTBUFFER特性、输出负载情况都有直接关系,TCO可在芯片数据手册中查得。由公式(1)可知,可调部分实际只有两项:Tflt_CLKB_MIN-Tflt_CLKB_MAX和Tflt_DATA_SETTLE_DELAY_MAX。单从满足建立时间而言,Tflt_CLKA_MIN应尽可能大,而Tflt_CLKB_MAX和Tflt_DATA_SETTL

4、E_DELAY_MAX则要尽可能小。实质上,就是要求接收时钟来得晚一点,数据来得早一点。1.2数据保持时间的时序分析为了成功地将数据锁存到器件内部,数据信号必须在接收芯片的输入端保持足够长时间有效以确保信号正确无误地被时钟采样锁存,这段时间称为保持时间。在公共时钟总线中,接收端缓冲器利用第二个时钟边沿锁存数据,同时在驱动端把下一个数据锁存到数据发送端。因此为了满足接收端保持时间,必须保证有效数据在下一个数据信号到达之前锁存到接收端触发器中,这就要求接收时钟CLKA的延时要小于接收数据信号的延时。由图1中的时序关系图中,可以得到时

5、钟CLKA的延时:TCLKA_DELAY=TCO_CLKA+Tflt_CLKA而数据延时:TDATA_DELAY=TCO_CLKB+Tflt_CLKB+TCO_DATA+Tflt_DATA_SIN-TCLKA_DELAY_MAX-Thold-Tmargin0展开、整理并考虑时钟抖动Tjitter等因素,可得如下关系:(TCO_CLKB_MIN-TCO_CLKA_MAX)+(Tflt_CLKB_MIN-Tflt_CLKA_MAX)+TCO_DATA_MIN+Tflt_DATA_SIN-Thold-Tmargin-Tjitter0煟

6、玻式(2)中,第一个括号内仍然是时钟芯片CLOCKBUFFER输出时钟之间的最大相位差;第二个括号内继续可以理解为时钟芯片输出的两个时钟CLKA、CLKB分别到达RECEIVER和DRIVER的最大延时差;要满足数据的保持时间,实际可调整的部分也只有两项,即Tflt_CLKB_MIN-Tflt_CLKA_MAX和Tflt_DATA_SIN。单从满足保持时间的角度而言,Tflt_CLKB_MIN和Tflt_DATA_SIN应尽可能大,而Tflt_CLKA_MAX则要尽可能小。也就是说,若欲满足保持时间,就要使接收时钟早点来,而数据

7、则要晚点无效(invalid)。为了正确无误地接收数据,必须综合考虑数据的建立时间和保持时间,即同时满足(1)式和(2)式。分析这两个不等式可以看出,调整的途径只有三个:发送时钟延时、接收时钟延时和数据的延时。调整方案可这样进行:首先假定发送时钟延时严格等于接收时钟延时,即煟裕妫欤簦撸茫蹋耍粒撸停桑危Tflt_CLKB_MAX牐剑昂停煟裕妫欤簦撸茫蹋耍拢撸停桑危Tflt_CLKA_MAX牐剑埃ê笪慕对这两个等式的假设产生的时序偏差进行考虑),然后通过仿真可以得出数据的延时范围,如果数据延时无解则返回上述两个等式,调整发送时钟延时

8、或接收时钟延时。下面是宽带网交换机中GLINK总线公共时钟同步数据收发的例子:首先假定发送时钟延时严格等于接收时钟延时,然后确定数据的延时范围,代入各参数,(1)和(2)式分别变为:1.5-Tflt_DATA_SETTLE_DELAY_MAX-Tmargin0&

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。