第六章数字电子技术课件.ppt

第六章数字电子技术课件.ppt

ID:60878336

大小:2.97 MB

页数:73页

时间:2020-02-03

第六章数字电子技术课件.ppt_第1页
第六章数字电子技术课件.ppt_第2页
第六章数字电子技术课件.ppt_第3页
第六章数字电子技术课件.ppt_第4页
第六章数字电子技术课件.ppt_第5页
资源描述:

《第六章数字电子技术课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第六章可编程逻辑器件PLD简介可编程逻辑器件PLD概述可编程逻辑器件PLD的基本单元可编程只读存储器PROM和可编程逻辑阵列PLA可编程阵列逻辑PAL和通用阵列逻辑GAL高密度可编程逻辑器件HDPLD原理及应用现场可编程门阵列FPGA随机存取存储器RAM小结传统的逻辑系统:当规模增大时焊点多,可靠性下降;系统规模增加,成本升高;功耗增加;占用空间扩大。连接线与点增多抗干扰下降半定制标准单元(StandardCell)门阵列(GateArray)可编程逻辑器件(ProgrammableLogicDevice)近年来PLD从芯片密度、速度等方面发展迅

2、速,已成为一个重要分支。MAX7128S系统放在一个芯片内专用集成电路(简称ASIC)用户定制集成电路ASIC全定制(FullCustomDesignIC)厂商直接做出。如:表芯厂商做出半成品半定制(Semi-CustomDesignIC)第一节可编程逻辑器件PLD概述PLD是70年代发展起来的新型逻辑器件,相继出现了ROM、PROM、PLA、PAL、GAL、EPLD和FPGA等。一、PLD的基本结构与门阵列乘积项PLD主体输入电路输入信号互补输入输出函数反馈输入信号可由或阵列直接输出,构成组合;通过寄存器输出,构成时序方式输出。可直接输出也可反

3、馈到输入它们组成结构基本相似如下:输出既可以是低电平有效,又可以是高电平有效。或门阵列和项输出电路F2=B+C+D二、PLD的逻辑符号表示方法1.输入缓冲器表示方法AAA2.与门和或门的表示方法固定连接编程连接F1=A•B•C×PLD具有较大的与或阵列,逻辑图的画法与传统的画法有所不同。下图列出了连接的三种特殊情况:1.输入全编程,输出为0。2.也可简单地对应的与门中画叉,因此E=D。3.乘积项与任何输入信号都没有接通,相当与门输出为1。下图给出最简单的PROM电路图,右图是左图的简化形式。实现的函数为:固定连接点(与)编程连接点(或)三、PLD

4、的分类1.与阵列固定,或阵列可编程:可编程只读存储器PROM或可擦除编程只读存储器EPROMPLD基本结构大致相同,根据与或阵列是否可编程分为三类:2.与阵列,或阵列均可编程:可编程逻辑阵列PLA3.与阵列可编程,或阵列固定:可编程阵列逻辑PAL、通用阵列逻辑GAL、高密度可编程逻辑器件HDPLDABCBCA000001010111连接点编程时,需画一个叉。全译码1.与阵列固定,或阵列可编程2.与、或全编程:代表器件是PLA(ProgrammableLogicArray)。在PLD中,它的灵活性最高。下图给出了PLA的阵列结构。由于与或阵列均能编

5、程的特点,在实现函数时,所需的是简化后的乘积项之和,这样阵列规模比PROM小得多。××××可编程可编程不像PROM那样与阵列需要全译码。3.与编程、或固定:代表器件PAL(ProgrammableArrayLogic)和GAL(GenericArrayLogic)。在这种结构中,或阵列固定若干个乘积项输出。××每个交叉点都可编程。F1F1为两个乘积项之和。四、PLD的性能特点采用PLD设计数字系统和中小规模相比具有如下特点:1.减小系统体积:单片PLD有很高的密度,可容纳中小规模集成电路的几片到十几片;2.增强逻辑设计的灵活性:使用PLD器件设计

6、的系统,可以不受标准系列器件在逻辑功能上的限制;3.缩短设计周期:由于可编程特性,用PLD设计一个系统所需时间比传统方式大为缩短;各种PLD的结构特点4.提高系统处理速度:用PLD与或两级结构实现任何逻辑功能,比用中小规模器件所需的逻辑级数少。这不仅简化了系统设计,而且减少了级间延迟,提高了系统的处理速度;7.系统具有加密功能:某些PLD器件,如GAL或高密度可编程逻辑器件本身具有加密功能。设计者在设计时选中加密项,可编程逻辑器件就被加密。器件的逻辑功能无法被读出,有效地防止电路被抄袭。5.降低系统成本:由于PLD集成度高,测试与装配的工作量大大

7、减少,避免了改变逻辑带来的重新设计和修改,有效地降低了成本;6.提高系统的可靠性:用PLD器件设计的系统减少了芯片数量和印制板面积,减少相互间的连线,增加了平均寿命,提高抗干扰能力,从而增加了系统的可靠性;五、用PLD实现逻辑电路的方法与过程用可编程逻辑器件设计电路需要相应的开发软件平台和编程器,可编程逻辑器件开发软件和相应的编程器多种多样。可编程逻辑器件设计电路过程如下图所示。电路方设案计设计输入优化电路选择器件编程器时件序功检能查特别是一些较高级的软件平台,一个系统除了方案设计和输入电路外,其它功能都可用编程软件自动完成。第二节可编程逻辑器件

8、PLD的基本单元编程单元:PLD中用来存放数据的基本单元。非易失性有多种编程单元,其特点是掉电后信息不会丢失,它一般用于只读存储器。易失

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。