嵌入式片上系统研究

嵌入式片上系统研究

ID:45582291

大小:354.27 KB

页数:16页

时间:2019-11-15

嵌入式片上系统研究_第1页
嵌入式片上系统研究_第2页
嵌入式片上系统研究_第3页
嵌入式片上系统研究_第4页
嵌入式片上系统研究_第5页
资源描述:

《嵌入式片上系统研究》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、自我测试嵌入片上系统中的研究案例查尔斯斯特劳德,约翰鲜宇,SrinivasGarimella和乔纳森哈里斯电气工程与计算机系奥木大学,美国阿拉巴马州摘要我们描述了一类曲编程门阵列(FPGA)核构成的为特定的逻辑以及一个处理器和儿个内存内核嵌入白我测试的发展。我们的日标设备是爱特梅尔AT94K系列系统级芯片(SoC),被称为现场可编程系统级集成电路(以及FPSLIOo该项目原来的冃标是为了发展内建自测试测试配置,完全可编程逻辑和布线的FPGA内核资源,然后利用FPGA内核来测试其他核心。我们发现该FPGA可以只提供有限的测试一些内存核和更少的处理器测试。一方面,该处理器提供了更多的有效

2、的测试比一些内存内核的FPGA核心。此外,处理器写FPGA配置存储器提供了改进和更有效的商品检验FPGA核心方法的能力。因此,该处理器的核心是主要的测试资源而不是FPGAo1.引言一种新的近似内置自测试(BIST)的方法,系统芯片(SoC)设备,在[1]里提出了在包含一个或多个现场可编程门阵列(FPGA)的核心。其基本思想是使用内建自测试方法开发FPGA来首次全面测试和诊断FPGA的核心,发现许多通用的芯片架构。这些FPGA的内建口测试方法重新编程FPGA逻辑和重新布线,曲内建自测试电路资源让FPGA來测试本身,同时离线不用外部测试设备或专用的电路需求做为口身测试[一]。一次测试和诊

3、断出FPGA内核的一部分故障可以被用來测试和诊断其他S0C核。正如[一]所提到的,FPGA内核为S0C的测试提供主要的测试资源,这优势连同内建自测试一起为FPGA具有,减少了需要昂贵的外部测试设备及专用的必要性自测试或其它设计可测试性(离散傅里叶变换)的电路。虽然对SoC测试这似乎是他潜在的好方法,它并不适用于在实践中的产品化SoCo因此,我们申请提出一个通用商业S0C的想法。Atmel的AT94K系列现场可编程系统级别集成电路(FPSLTC)是一个通用的系统级芯片结构,它由三种主要功能类型的核心组成:一个8位处理器核,一个FPGA核,以及不同类型的随机存取存储器(RAM)核121。

4、由于较大的FPGA核,从[一]提到这SoC架构似乎它是一个BIST应用程序最好选择。在我们的开发过程中,我们发现,许多实际架构问题阻碍了原先提议的SoC测试方法的直接应用。例如,FPGA核和其他核之间有限的接口人人限制FPGA核测试的其他核能力。但是该架构具有独特的特色或性能,正如在[一]提议该架构在不同意义上为SoC测试提供了许多独一无二的条件和功能。例如,处理器的核写FPGA配置存储器能力允许FPGA核内建自测试应用程序,无须强烈下载的配宜内建自测试需要测试的FPGA核。木文,我们提出我们对为在[一]的建议爱特梅尔AT94K系列SoC片上系统内建口我测试方法的应用研究。我们描述的

5、障碍,以防止直接应用原先建议以及结构特色和功能的方法,这为核心的系统芯片的FPGA测试推导出的新方法。我们在第2节开始与在[一]之后提出的内建自我测试方法进行概述,紧接着在第3节对AT94K系列SoC架构进行概述。在第4节我们描述了结构的局限将阻碍在[1]提出的内建自我测试的方法完整的应用,并描述他的系统芯片,可以与该内建自测试方法进行测试的部分结构的限制。然后,在第5、6和第7节我们将描述的我们用來测试FPGA核、各种RAM核和处理器核的方法。在第8节,我们将说明改善测试时获得通过处理器的FPGA内核更传统的BIST测试FPGA方法相比并且在第9节给出木论文的结论。2.以前提出的S

6、oC内建自测试在[一]中提出SoCBIST的基本思路是首先为了测试FPGA核,然后用FPGA核去测试其它核。在FPGA中的BIST的基木思路是配置一些可编程逻辑块(PLBs)作为测试信号发生器(TPGs)并且作为输出反应分析器(ORAs)o然而这里有过去习惯的在可编程的逻辑块下测试(BUTs)用逻辑口测试或者在线路内用路出口测试直接检测错误。通常在逻辑内建自我测试,BUTs和ORAs被安排采用交替列(或行)复用同一种测试信号发生器(TPGs)往往驱动BUTs列(或行)的交互[3]。同—•种(BUTs)的输岀反应黠通过ORAs用相邻的列(或行)做对比。在给定的测试间断里,BUTs用它的

7、各种操作模式反复重新配置,直到它们被完全的测试。在接下来的测试阶段,这种结构是翻转并.ftPLBs的角色使逆转的,使得一些之前像TPGsandORAs配置成BUTs并且反之亦然。BUTs只可在两个测试测试时至少有一半的BUTs为其它选择配置在给定的测试阶段周期。两种路出类型的内建口测试方法已被证明在测试FPGA中的可编程互连资源有效。第一•个是基于TPG驱动彻底超出两个WUTs设置的测试模拟与ORAs端的比较[4]o第二种方法是基于奇偶的在测试信号发生器资

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。