发一个PS2接口的VHDL代码

发一个PS2接口的VHDL代码

ID:38657883

大小:34.00 KB

页数:7页

时间:2019-06-17

发一个PS2接口的VHDL代码_第1页
发一个PS2接口的VHDL代码_第2页
发一个PS2接口的VHDL代码_第3页
发一个PS2接口的VHDL代码_第4页
发一个PS2接口的VHDL代码_第5页
资源描述:

《发一个PS2接口的VHDL代码》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、发一个PS2接口的VHDL代码 贴子发表于:2008/11/1617:05:47--HiEmacs,thisis-*-mode:vhdl-*-------------------------------------------------------------------------------------UnidirectionalPS2InteRFace(device->host)--Forconnectmouse/keyboard----ThePS/2mouseandkeyboardimplementabidirectionals

2、ynchronousserial--protocol. Thebusis"idle"whenbothlinesarehigh(open-collector). --THISA*UNIDIRECTIONAL*INTERFACE(DEVICE->HOST)----JavierValcarceGarc韆,javier.valcarce@gmail.com--$Id$----------------------------------------------------------------------------------libraryie

3、ee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;usework.utils.all;entityinterface_ps2is port(   reset  :in std_logic;   clk    :in std_logic;           --fasterthankbclk   kbdata :in std_logic;   kbclk  :in std_logic;   newdata:out

4、std_logic;           --oneclockcyclepulse,notifyanewbytehasarrived   do     :outstd_logic_vector(7downto0)   );endinterface_ps2;-------------------------------------------------------------------------------architecturebehavioralofinterface_ps2is signalst:std_logic; signa

5、lsh:std_logic; signals1      :std_logic; signals2      :std_logic; signalkbclk_fe:std_logic; signalshift9:std_logic_vector(8downto0); signalerror :std_logic; begin---------------------------------------------------------------------------------Edgedetector----------------

6、---------------------------------------------------------------  process(reset,clk) begin   ifreset='1'then     s1<='0';     s2<='0';        elsifrising_edge(clk)then     s2<=s1;     s1<=kbclk;   endif; endprocess; kbclk_fe<='1'whens1='0'ands2='1'else'0';-----------------

7、----------------------------------------------------------------9-bitshiftregistertostorereceiveddata--11-bitframe,LSBfirst:1startbit,8databits,1paritybit,1stopbit------------------------------------------------------------------------------- process(reset,clk) begin   if

8、reset='1'then     shift9<="000000000";   elsifrising_edge(clk)then     ifsh='1'then   shift9(7do

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。