基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】

基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】

ID:363654

大小:1.15 MB

页数:44页

时间:2017-07-28

基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】_第1页
基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】_第2页
基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】_第3页
基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】_第4页
基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】_第5页
资源描述:

《基于FPGA的CMOS彩色图像变换IP设计【毕业论文+文献综述+开题报告】》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、( 2011 届)毕业设计题目:基于FPGA的CMOS彩色图像变换IP设计姓  名:            专  业:  电子信息工程班  级:    学号:指导教师:      导师职称:              年 月 日III基于FPGA的CMOS彩色图像变换IP设计摘要本课题设计基于FPGA的CMOS彩色图像变化IP,利用FPGA处理数据量大、处理速度快,结合CMOS图像传感器MT9M001和BayerCFA格式图像的特点,设计一种基于FPGA的图像数据转化处理IP,实现Bayer格式到RGB格式

2、的转化的设计,研究CFA图像插值算法,实现基于FPGA的实时线性插值算法,对Bayer图像格式进行插值恢复全彩色图像,实现从黑白图像还原高清彩色图像。CMOS图像传感器MT9M001采集到的图像数据,作为CFA插值图像算法输入源,通过FPGA芯片,设计缓存控制模块,缓存模块,插值模块,利用VHDL语言实现实时双线性插值算法。本课题选用的FPGA开发环境是ISE(IntegratedSoftwareEnviroment)6.1d,通过Modeltech_6.1d仿真工具进行仿真。关键词:CMOS图像传感器,C

3、FA插值,RGB格式,FPGA37IPdesignofCMOScolorimagechangeonFPGAAbstractTheprojectdesignisbasedonFPGAforCMOScolorimagechangeIP,usingFPGAdataprocessingcapacity,processingspeed,combinedwithCMOSimagesensorMT9M001andBayerCFAformatimagefeatures,designofaFPGA-basedprocessi

4、ngofimagedataintoIP,BayerformattoachieveRGBformatconversiontothedesign,researchCFAimageinterpolationalgorithm,real-timeFPGA-basedlinearinterpolationalgorithm,tointerpolateBayerimageformatfull-colorimagerestoration,restoredhigh-definitionblackandwhiteimages

5、fromacolorimage.MT9M001CMOSimagesensorimagedatacollectedasaCFAimageinterpolationalgorithminputsource,throughthechip,FPGA,designofthecachecontrolmodule,cachemodule,interpolationmodule,theuseofVHDLlanguageforreal-timebilinearinterpolationalgorithm.Thetopicch

6、osenFPGAdevelopmentenvironmentisISE(IntegratedSoftwareEnviroment)6.1d,throughModeltech_6.1dsimulationtoolforsimulation.Keywords:CMOSimagesenso,CFAInterpolation,RGBformat,FPGA37目录摘要IIIAbstractIV1绪论11.1CMOS图像传感器及其发展11.2CFA插值算法11.2.1双线性插值算法含义21.3课题研究的主要内容21.4

7、FPGA设计流程与开发环境及简介31.4.1FPGA简介31.4.2FPGA设计流程31.4.3开发环境与仿真工具41.5VHDL硬件描述语言61.6论文完成工作62方案设计与总体设计72.1插值算法方案设计72.2方案评价72.3总体设计82.3.1CMOS图像传感器82.3.2FPGA芯片83实时双线性插值算法的实现(VHDL语言)93.1.CFA插值模块方案设计93.2各个模块103.2.1缓存控制模块103.2.2缓存模块113.2.3插值模块124软硬件调式164.1编程平台和调试软件164.2调

8、试过程164.2.1彩条行显示164.2.2BLOCKRAM读写的说明17结论18参考文献19致谢20附录2137附录1缓存控制模块程序21附图2缓存控制模块仿真图22附录3缓存模块程序23附图4帧控制时序仿真图24附录5带进位计数器程序24附图6计数器仿真图2637基于FPGA的CMOS彩色图像变换IP设计1绪论1.1CMOS图像传感器及其发展CMOS是一种采用CMOS(ComplementaryMetal-o

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。