欢迎来到天天文库
浏览记录
ID:35163432
大小:736.68 KB
页数:12页
时间:2019-03-20
《modelsim-alterasoftware》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库。
1、ModelSim-AlteraSoftwareSimulationUserGuideModelSim-AlteraSoftwareSimulationUserGuide101InnovationDriveSanJose,CA95134www.altera.comUG-01102-2.0DocumentlastupdatedforAlteraCompleteDesignSuiteversion:12.1Documentpublicationdate:January2013©2013AlteraCorporation.Allrightsreserved.ALTERA,
2、ARRIA,CYCLONE,HARDCOPY,MAX,MEGACORE,NIOS,QUARTUSandSTRATIXareReg.U.S.Pat.&Tm.Off.and/ortrademarksofAlteraCorporationintheU.S.andothercountries.Allothertrademarksandservicemarksarethepropertyoftheirrespectiveholdersasdescribedatwww.altera.com/common/legal.html.Alterawarrantsperformance
3、ofitssemiconductorproductstocurrentspecificationsinaccordancewithAltera’sstandardwarranty,butreservestherighttomakechangestoanyproductsandservicesatanytimewithoutnotice.Alteraassumesnoresponsibilityorliabilityarisingoutoftheapplicationoruseofanyinformation,product,orservicedescribedhe
4、reinexceptasexpresslyagreedtoinwritingbyAltera.Alteracustomersareadvisedtoobtainthelatestversionofdevicespecificationsbeforerelyingonanypublishedinformationandbeforeplacingordersforproductsorservices.ModelSim-AlteraSoftwareSimulationUserGuideJanuary2013AlteraCorporationSimulatingwitht
5、heModelSim-AlteraSoftwareThisuserguidedescribessimulationusingtheModelSim-AlteraStarterEditionorModelSim-AlteraEditionsoftware.TheQuartus®IIsoftwaresupportsHDLdesignsimulationatregistertransfer(RTL)andgatelevelsinvariousindustry-standardsimulators.YoucanusetheQuartusIINativeLinkfeatur
6、etointegrateyourEDAsimulatorwithintheQuartusIIdesignflowandstreamlinesimulationprocessingsteps.Formoretool-specificguidelines,refertoAldecActive-HDLandRiviera-PROSupport,SynopsysVCSandVCSMXSupport,CadenceIncisiveEnterpriseSimulatorSupport,orMentorGraphicsModelSimandQuestaSimSupportint
7、heQuartusIIHandbook.PrerequisitesThisuserguideassumesyouhaveaworkingknowledgeofthefollowingsubjects:■VerilogHDL,SystemVerilog,orVHDLhardwaredescriptionlanguages■SubjectscoveredintheQuartusIIsoftware“GettingStartedTutorial”StartingtheModelSim-AlteraSoftwarewiththeQuartusIISoftwareTosta
8、rtthe
此文档下载收益归作者所有
点击更多查看相关文章~~