微分型单稳态触发器的Multisim分析.pdf

微分型单稳态触发器的Multisim分析.pdf

ID:34750324

大小:420.93 KB

页数:3页

时间:2019-03-10

微分型单稳态触发器的Multisim分析.pdf_第1页
微分型单稳态触发器的Multisim分析.pdf_第2页
微分型单稳态触发器的Multisim分析.pdf_第3页
资源描述:

《微分型单稳态触发器的Multisim分析.pdf》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、第20卷第8期电子设计工程2012年4月Vol.20No.8ElectronicDesignEngineeringApr.2012微分型单稳态触发器的Multisim分析12任骏原,李春然(1.渤海大学信息科学与技术学院,辽宁锦州121000;2.渤海大学数理学院辽宁锦州121000)摘要:基于探索微分型单稳态触发器仿真实验技术的目的,采用Multisim10仿真软件对微分型单稳态触发器的工作波形进行了仿真实验测试,给出了Multisim仿真实验方案,分析了电路由稳态进入暂态、暂态期间电容C充电、暂态结束电容C放电恢复、返回稳态的工

2、作过程,给出缩短恢复时间的改进设计方案。结论是仿真实验可直观形象地描述微分型单稳态触发器的工作特性,有利于系统地研究电路的构成及设计。关键词:微分型单稳态触发器;稳态;暂态;Multisim中图分类号:TP391.9,TN710文献标识码:A文章编号:1674-6236(2012)08-0015-02Analysisofdifferentialmonostableflip-flopwithMultisimRENJun-yuan1,LIChun-ran2(1.CollegeofInformationScienceandTechnolo

3、gy,BohaiUniversity,Jinzhou121000,China;2.CollegeofMathematicsandPhysics,BohaiUniversity,Jinzhou121000,China)Abstract:Thispaperisaimedtoinvestigatesimulationexperimentaltechniquetoanalyzedifferentialmonostableflip-flop.WithsimulationsoftwareMultisim10,workingwavesofdiff

4、erentialmonostableflip-floparetested.Inthetestprocedure,experimentalschemeisgivenoutaswellasworkingprocesseswhichincludethecircuit’scomingfromtransientstatetostablestate,capacitance’scharginganddischargingintransientstate,andthecircuit’srevertingtostablestateareanalyze

5、d.Theimprovementschemeisdrewouttoshortentherestoringtime.Inconclude,workingcharactersofflip-flopcanbedescribedintuitivelywithsimulationexperimentalmethodwhichbenefitsthesystematicallyresearchingofcompositionanddesignofcircuits.Keywords:differentialmonostableflip-flop;s

6、tablestate;transientstate;Multisim单稳态触发器有一个稳定输出状态、一个暂稳输出状电压。态,是脉冲整形与产生的基本单元电路。电路构成形式多种Multisim仿真电路中,选用CMOS四2输入或非门,电阻多样,如CMOS门微分型单稳态触发器、CMOS门积分型单稳R=500Ω、电容C=1μF,信号源输出频率f=500Hz、幅度U=态触发器、TTL门微分型单稳态触发器、TTL门积分型单稳态5V、占空比q=5﹪的脉冲波,四踪示波器用于观测uI、uO1、uI2触发器以及集成稳态触发器[1-2]。及u的波形。O在M

7、ultisim仿真软件[3-8]中进行单稳态触发器分析时,用电路的工作原理叙述如下。脉冲电压源或虚拟仪器中的函数信号发生器做实验中的信输入脉冲信号uI正脉冲触发,即uI为高电平VDD有效,号源、用四踪示波器测试有关波形,可以直观、定量描述电路且uI的触发时间须很短,要小于暂稳态持续时间。的工作过程。uI=0V时无触发,电路处于稳态,此时uI2=VDD、uO=0V、以下用Multisim10版本对CMOS或非门微分型单稳态uO1=VDD。触发器进行仿真研究,并分析仿真结果提出单稳态触发器减uI由0V上跳到VDD时,电路触发进入暂稳态,

8、uO1随之小恢复时间的电路改进方法。由VDD下跳到0V,uI2随之由VDD下跳到0V,uO由0V上跳到VDD,电容C充电使uI2↑,充电回路为+VDD→R→C→G1门1微分型单稳态触发器的Multisim仿真输出端,充电时间常数τ充=

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。