步进电机控制电路一种基于fpga的实现new

步进电机控制电路一种基于fpga的实现new

ID:34608641

大小:329.00 KB

页数:3页

时间:2019-03-08

步进电机控制电路一种基于fpga的实现new_第1页
步进电机控制电路一种基于fpga的实现new_第2页
步进电机控制电路一种基于fpga的实现new_第3页
资源描述:

《步进电机控制电路一种基于fpga的实现new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第28卷第8期微计算机应用Vol.28No.82007年8月MICROCOMPUTERAPPLICATIONSAug.2007步进电机控制电路一种基于FPGA的实现刘加峰芦勤郁苏娟罗姗(首都医科大学生物医学工程学院北京100069)摘要:提出了一种利用EDA技术,实现步进电机控制系统数字输入的方案,从而实现了对步进电机的精确控制。介绍了系统的原理与结构框图,详细论述了控制电路核心部分的设计原理和实现,并给出了仿真波形。该系统具有修改方便、使用灵活、可靠性高、可移植性强等优点.关键词:步进电机细分控制FPGAEDADesignofDigitalStePmotorControl

2、lerSystemBasedonFPGALIUJiafeng,LUQin,YUSujuan,LUOShan(CapitalUniversityofMedicalSciences,Beijing,100069,China)Abstract:Inthispaper,firstadigitalinputtechniqueaboutstePmotorbasedonEDAisintroduced.ByusingthistechniquetheStePmotorcanbecontrolledaccurately.Besides,theprincipleandstructureblock

3、diagramareintroduced.Furthermore,thedesignprin2cipleandrealizationforthecoresectionofthecontrolcircuitareprobedindetail,andthesimulationwaveispresented.Thesystemhasthefeaturesofbeingeasilymodified,goodflexibility,highreliabilityandpowerfultransplantablecapability.Keywords:stepmotor,subdivi

4、dedcontrol,FPGA,EDA步进电机作为控制执行元件,是机电一体化的关键产品之一,广泛应用在各种自动化控制系统和精密机械等领域,随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用,现在比较常用的步进电机包括反应式步进电机,永磁式步进电机,混合式步进电机和单相式步进电机等。其中反应式步进电机的转子磁路由软磁材料制成,定子上有多相励磁绕组,利用磁导的变化产生转矩。现阶段,反应式步进电机获得最多的应用。步进电机和普通电动机不同之处是步进电机接受脉冲信号的控制,正是这个特点,步进电机可以和现代的数字控制技术相结合。传统步进电机控制电路的实现

5、多用单片机或专用[1]接口芯片.然而此类方法存在单片机易受电机干扰!专用接口芯片使用灵活性差等缺点。本文提出一种利用FPGA设计技术实现步进电机控制电路的方案,具有修改方便!使用灵活!可靠性高!可移植性强等优点。[1]1步进电机的控制原理[2]步进电动机是一种用电脉冲信号进行控制并将电脉冲信号转换成相应的角位移的执行器。由于受脉冲的控制,其转子的角位移量和速度严格地与输入脉冲的数量和脉冲频率成正比。改变通电顺序可改变步进电动机的旋转方向;改变通电频率可改变步进电动机的转速。(1)结构:电机转子均匀分布着很多小齿,定子齿有三个励磁绕阻,其几何轴线依次分别与转子齿轴线错开0て、

6、1/3て、2/3て,(相邻两转子齿轴线间的距离为齿距以て表示),即A与齿1相对齐,B与齿2向右错开1/3て,C与齿3向右错开2/3て,A与'齿5相对齐,(A就'是A,齿5就是齿1)下面是定转子的展开图:本文于2006204210收到。864微计算机应用2007年(2)旋转:如A相通电,B,C相不通电时,由于磁场作用,齿1与A对齐,(转子不受任何力以下均同)。同理如果以次给B、C相通电,每通一次电转子都会转动1/3て。如再给A相通电,B、C相不通电,齿4与A对齐,转子又向右移过1/3て这样经过A、B、C、A分别通电状态,齿4(即齿1前一齿)移到A相,电机转子向右转过一个齿距,

7、如果不断地按A、B、C、A⋯⋯通电,电机就每步(每图1定转子的展开图脉冲)1/3て,向右旋转。如按A、C、B、A⋯⋯通电,电机就反转。由此可见:电机的位置和速度由导电次数(脉冲数)和频率成一一对应关系。而方向由导电顺序决定。,不过,出于对力矩、平稳、噪音及减少角度等方面考虑。本系统采用四相,八拍运行(A-AB-B-BC-C-CD-D-DA-A),步距角为0.9度。2步进电机的FPGA控制步进电机控制的最大特点是开环控制,不需要反馈信号。因为步进电机的运动不产生旋转量的误差累[3]积。由FPGA实现的步进电机控制系统

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。