《现代计算机组成原理》课件第6章

《现代计算机组成原理》课件第6章

ID:34139283

大小:690.17 KB

页数:68页

时间:2019-03-03

《现代计算机组成原理》课件第6章_第1页
《现代计算机组成原理》课件第6章_第2页
《现代计算机组成原理》课件第6章_第3页
《现代计算机组成原理》课件第6章_第4页
《现代计算机组成原理》课件第6章_第5页
资源描述:

《《现代计算机组成原理》课件第6章》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、现代计算机组成原理潘明潘松编著科学出版社科学出版社第6章16位CISCCPU设计6.1顶层系统设计6.1.116位CPU的组成结构图6-116位CPU结构框图6.1顶层系统设计6.1.2指令系统设计1.指令格式(1)单字指令表6-1单字节指令格式6.1顶层系统设计(2)双字指令表6-2双字指令格式表6-3双字节指令2.指令操作码表6-4操作码功能表6.1顶层系统设计6.1.2指令系统设计6.1顶层系统设计6.1.2指令系统设计2.指令操作码表6-5常用指令举例6.1顶层系统设计6.1.3顶层结构的VHDL设计1.CPU元件

2、的VHDL描述【例6-1】CPU_LIB.VHDlibraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;packagecpu_libistypet_shiftis(shftpass,shl,shr,rotl,rotr);subtypet_aluisunsigned(3downto0);(接下页)constantalupass:unsigned(3downto0):="0000";constantandOp:unsigned(3downto0):=

3、"0001";6.1顶层系统设计constantorOp:unsigned(3downto0):="0010";constantnotOp:unsigned(3downto0):="0011";constantxorOp:unsigned(3downto0):="0100";6.1.3顶层结构的VHDL设计constantplus:unsigned(3downto0):="0101";constantalusub:unsigned(3downto0):="0110";1.CPU元件的VHDL描述constantinc:un

4、signed(3downto0):="0111";constantdec:unsigned(3downto0):="1000";constantzero:unsigned(3downto0):="1001";typet_compis(eq,neq,gt,gte,lt,lte);subtypet_regisstd_logic_vector(2downto0);typestateis(reset1,reset2,reset3,reset4,reset5,reset6,execute,nop,load,store,move,lo

5、ad2,load3,load4,store2,store3,store4,move2,move3,move4,incPc,incPc2,incPc3,incPc4,incPc5,incPc6,loadPc,loadPc2,loadPc3,loadPc4,bgtI2,bgtI3,bgtI4,bgtI5,bgtI6,bgtI7,bgtI8,bgtI9,bgtI10,braI2,braI3,braI4,braI5,braI6,loadI2,loadI3,loadI4,loadI5,loadI6,inc2,inc3,inc4);s

6、ubtypebit16isstd_logic_vector(15downto0);endcpu_lib;【例6-2】top.vhdlibraryIEEE;useIEEE.std_logic_1164.all;6.1顶层系统设计usework.cpu_lib.all;entitytopisendtop;architecturebehaveoftopis6.1.3顶层结构的VHDL设计componentmem1.CPU元件的VHDL描述port(addr:inbit16;sel,rw:instd_logic;ready:out

7、std_logic;data:inoutbit16);endcomponent;componentcpuport(clock,reset,ready:instd_logic;addr:outbit16;rw,vma:outstd_logic;data:inoutbit16);endcomponent;signaladdr,data:bit16;signalvma,rw,ready:std_logic;signalclock,reset:std_logic:='0';beginclock<=notclockafter50ns

8、;reset<='1','0'after100ns;m1:memportmap(addr,vma,rw,ready,data);u1:cpuportmap(clock,reset,ready,addr,rw,vma,data);endbehave;2.顶层文件的原理图设计6.1顶层系统设计6.1.3顶层

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。