chipscope使用方法说明

chipscope使用方法说明

ID:19549562

大小:337.00 KB

页数:6页

时间:2018-10-03

chipscope使用方法说明_第1页
chipscope使用方法说明_第2页
chipscope使用方法说明_第3页
chipscope使用方法说明_第4页
chipscope使用方法说明_第5页
资源描述:

《chipscope使用方法说明》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、ChipScope使用方法说明ChipScope使用方法说明拟制李雷鸣日期2005-6-4第5页共5页ChipScope使用方法说明1ChipScope简介ChipScope的主要功能是能通过JTAG口,在线、实时地读出FPGA内部逻辑的任何信号。其基本原理是利用FPGA中未使用的BlockRam,将想要观察的信号(寄存器,网线)实时地存到这些BlockRam中,然后根据用户设定的触发条件生成特定的地址译码选择数据读出,送到JTAG口,然后在计算机中根据这些数据动态地画出时序波形来。使用ChipScope分析FPGA内部信号的优点如下:1.成本低廉,只要

2、有这套软件加上一根JTAG电缆就可完成信号的分析。2.灵活性大,可观测信号的数量和存储深度仅由器件剩余的BlockRam数量决定。剩余BlockRam越多,可分析的信号的数量和存储深度就越大。3.使用方便,该软件可以自动读取原设计生成的网表(*.ngc,*.edf,*.edn)区分时钟信号和普通信号,待观测信号的设定也十分方便,存储深度可变。可以设计多种触发条件的组合。然后软件自动将其IP核的网表插入到原设计的网表中。其IP核只使用少量的查找表资源和寄存器资源,对原设计的影响很小。4.使FPGA不再是“黑箱”。ChipScope可以十分方便的观测FPGA

3、内部的所有信号,包括寄存器,网线型,甚至可以观测综合器产生的重命名的连接信号,使FPGA不再是“黑箱”,对FPGA内部逻辑调试非常方便。2ChipScope组成ChipScope工具箱包含三个工具:ChipScopeCoreGenerator,ChipScopeCoreInserter,和ChipScopeAnalyzer。ChipScopeCoreGenerator的作用是根据设定条件生成在线逻辑分析仪的IP核。使用方法和Xilinx的CoreGenerator相似。ChipScopeCoreInserter完成读取并分析原设计的网表,设置待分析信号,

4、设定触发条件,和自动生成的该在线逻辑分析仪lP核的网表,并将网表插入到原设计的网表中去。ChipScopeAnalyzer的作用是根据生成的工程,接收JTAG传输的FPGA内部信号,再现为波形。其使用界面就像是JTAGProgramer(下载工具)+Agilent逻辑分析仪。3ChipScope使用方法ChipScope有两种使用方法。第一种是ChipScopeCoreGenerator+ChipScopeAnalyzer方式,第二种是ChipScopeCoreInserter+ChipScopeAnalyzer方式。第一种方法是在ISE设计工具中通过内

5、核生成器产生ICON,ILA,IBA等内核,将这些内核例化后添加到设计源文件中,并对包含ChipScope内核的文件进行综合,实现,下载到器件中。第二种方法是不修改源文件,利用内核插入器将所生成的ICON,ILA,IBA等内核插入到综合工具所产生的设计网表文件(.NGC或EDIF)中,然后再对这个新的网表文件进行设计实现和下载。第一种方法每修改一次ChipScope的内容需要重新例化内核,重新对设计进行综合处理,相对比较复杂费时。第二种方法不需修改源文件和对设计进行综合处理,因此操作简单方便。通常我们采用第二种方式。在使用ChipScope之前需要对IS

6、E开发工具进行以下两个参数设置。1)在使用XST综合工具时需要使能“KeepHierarchy”参数,以保持设计的层次结构,防止XST综合工具对所有层次的设计都进行优化。2)将器件的配置模式设置成JTAG模式,将配置时钟设置成JTAGClock时钟。第5页共5页ChipScope使用方法说明1.1ChipScopeCoreInserter使用方法ChipScopeCoreInserter使用方法分三步骤如下:1)建立CDC文件。2)ICON参数设置。3)ILA参数设置。包括触发参数设置,捕捉参数设置,网络连接设置。下面详细介绍使用步骤及各步骤要注意的问题

7、。首先在指定的设计文件上建立CDC文件;然后双击该CDC文件,进入如下页面。点击“next”,进入ICON参数设置界面如下图。ICON参数设置主要用来指定是否禁止在JTAG时钟上插入BUFG。如果我们的设计中全局时钟资源紧张,则需禁止插入BUFG,否则应使能JTAG时钟BUFG插入。点击“next”,进入ILA参数设置界面。首先是触发参数设置,如下图。第5页共5页ChipScope使用方法说明触发参数栏用于设置触发输入,匹配单元参数,以及触发条件参数。每个ILA内核最多可以提供16个输入触发端口。每个触发端口可以有1~16个触发匹配单元。这些触发条件判断

8、单元可以组合起来构成逻辑分析仪的触发条件,用于捕获数据。需要注意的是,触发匹配单

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。