课程设计--基于vhdl的三层电梯控制器的设计

课程设计--基于vhdl的三层电梯控制器的设计

ID:17925030

大小:267.66 KB

页数:10页

时间:2018-09-10

课程设计--基于vhdl的三层电梯控制器的设计_第1页
课程设计--基于vhdl的三层电梯控制器的设计_第2页
课程设计--基于vhdl的三层电梯控制器的设计_第3页
课程设计--基于vhdl的三层电梯控制器的设计_第4页
课程设计--基于vhdl的三层电梯控制器的设计_第5页
资源描述:

《课程设计--基于vhdl的三层电梯控制器的设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、德州学院物理系09电子信息工程防盗报警器课程设计指导教师张秀梅学生姓名苏帅学号200900802001专业物理系09级电子信息工程教学单位物理系10/10德州学院物理系09电子信息工程一、摘要本设计运用有限状态机的方法,在结构体最前端首先定义了十个状态;然后在结构体中设计了两个进程,状态机进程作为主要进程,信号灯控制进程作辅助进程。在状态机进程中,电梯关门后根据信号灯的情况,来决定下一个状态是上升、下降还是停止;在信号灯控制进程中,而信号灯的熄灭是由状态机进程中传出clearup和cleardn信号来控制。实现了三层电梯的基本功能,电梯的的运行情况

2、完全符合它的运行规则,电梯的位置变化合情合理。二、设计的基本思路及其设计出发点本设计采用VHDL,源程序经A1tera公司的MAX+plusII软件仿真。运用有限状态机的设计方法,设计了两个进程相互配合,状态机进程作为主要进程,信号灯控制进程作为辅助进程。电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。随着社会需求的变化,电梯朝着节能、环保及智能化方向发展。三、方案原理论述3.1电梯控制器原理电梯控制器的功能模块如图

3、3.1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。10/10德州学院物理系09电子信息工程状态显示器主控制器楼层选择器分控制器译码器楼层显示器图3.1电梯控制器原理图3.2三层电梯控制器的设计思路电梯控制器设计两个进程相互配合,状态机进程作为主

4、要进程,信号灯控制进程作为辅助进程。根据电梯的实际工作情况,可以为状态机设置十个状态,它们分别是“电梯停在一层”“开门”“关门”“开门等待第一秒”“开门等待第二秒”“开门等待第三秒”“开门等待第四秒”“上升”“下降”和“停止”。由于电梯每秒上升或下降一层,则可以用周期为1s的信号来作为电梯状态转换的触发时钟。状态机进程中的很多判断条件是以信号灯控制进程产生的信号灯信号为依据,而信号灯控制进程中信号灯的熄灭又是由状态机进程中传出的信号来控制。三层电梯控制器的设计主要是对实体和结构体的设计,它的VHDL描述模块流程如图3.2所示:10/10德州学院物理

5、系09电子信息工程元件库的说明定义实体结构体端口状态机进程信号灯控制进程结束按键信号灯图3.2三层电梯控制器的VHDL描述模块流程3.3结构体设计在结构体中,首先说明了状态机设置的十个状态,分别是:电梯停在1层(stopon1)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwait1)、开门等待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、上升(up)、下降(down)和停止(stop)。在结构体最前端用如下的定义语句,来定义状态机。typelift_st

6、ateis(stopon1,dooropen,doorclose,doorwait1,doorwait2,doorwait3,doorwait4,up,down,stop);接着描述电梯内部功能实现,在结构体中设计了两个进程,一个状态机进程(ctrlift),它是以reset和liftclk作为敏感信号,控制电梯的状态转移;另外一个是信号灯控制进程(ctrlight),它是以reset和buttonclk作为敏感信号,控制寄存信号的逻辑值。在状态机进程中,电梯关门后根据信号灯的情况,来决定下一个状态是上升、下降还是停止;在信号灯控制进程中,由于使用

7、了专门的频率较高的按键时钟,所以使得按键的灵敏度大,但是时钟频率不能过高,否则容易使按键过于灵敏,而信号灯的熄灭是由状态机进程中传出clearup和cleardn信号来控制。10/10德州学院物理系09电子信息工程四、仿真设计分析4.1VHDL源代码语法的简单说明(1)本程序设计调用了ieee库,ieee库是VHDL设计中最为常用的库,它包含有ieee标准的程序包和其他一些支持工业标准的程序包。本设计采用std-logic-1164、std-logic-unsigned、std-logic-arith程序包。(2)以关键词entity引导,ende

8、ntitythreelift结尾的部分是程序的实体部分。VHDL的实体描述了电路器件的外部情况,本设计定义了关于三层电梯控

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。