EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt

EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt

ID:50322036

大小:13.54 MB

页数:36页

时间:2020-03-08

EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt_第1页
EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt_第2页
EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt_第3页
EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt_第4页
EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt_第5页
资源描述:

《EDA技术教程教学课件 作者 梁勇 王留奎 第5章 Quartus II使用提高.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、5.3 MegaCore的安装及使用实例5.2参数化宏功能块在QuartusII的例化方法及实例5.1IP在QuartusII中的体现第5章QuartusII使用提高5.1IP在QuartusII中的体现IP核分为硬核(HardIP)、软核(SoftIP)、固核(FirmIP)3种。硬核提供了设计的最终阶段产品,已经完成了布局布线和功能验证工作,并将设计映射到IC硅片的物理版图上了。软核是可综合的寄存器级硬件描述语言模型,它包括仿真模型、测试方法和说明文档。固核是完成了综合,带有布局布线信息的网表软件IP。1.算术运算库图5.1算术运算库图5.2逻辑门库2.

2、逻辑门模块库图5.3I/O模块库3.I/O模块库图5.4存储器模块库4.存储器模块库5.DSP和通信类模块库5.2参数化宏功能块在QuartusII的 例化方法及实例5.2.1参数化宏功能模块的例化方法5.2.2参数化宏功能模块在原理图中 的使用5.2.3参数化宏功能模块在VHDL中 的使用5.2.4参数化宏功能模块在混合电路 设计中的使用图5.5参数模块创建向导15.2.1参数化宏功能模块的例化方法打开参数化模块创建向导有多种方法。方法一:通过菜单“Tools”→“MegaWizardPlug-InManager...”打开。方法二:在原理图输入方式下,单

3、击右键,在弹出的右键菜单中选择“Insert...”→“Insertsymbole”,打开添加元件界面,单击“MegaWizardPlug-InManager...”按钮,打开如图5.5所示界面。方法三:单击原理图设计界面工具栏中的SymbolTool工具,打开添加元件界面。1.通过参数化宏功能模块创建向导例化宏功能块图5.5参数模块创建向导1图5.6参数模块创建向导2图5.7参数模块创建向导3图5.8参数模块创建向导4图5.9参数模块创建向导5图5.10参数模块创建向导6图5.11参数模块创建向导72.通过添加元器件的方式例化参数化宏功能块①新建一个原理图

4、空白文件,在空白文件中,利用右键菜单或者工具栏,打开添加元件界面,在界面的libraries下选择“Megafunctions”→“Arithmetic”→“Lpm_counter”,取消选中LaunchMegaWizardPlug-In选项(若选中,就进入参数化模块创建向导)。②单击“OK”按钮,进入图5.12所示的计数器初始化图形界面。图5.12参数化计数器初始图图5.13计数器模块属性设置窗口图5.14例化完成后的十进制计数器③设置完成后给模块加上输入输出引脚,然后执行“File”→“Create/Update”→“CreateSymboleFiles

5、ForCurrentFile”操作,创建原理图模块文件counter10.bsf,以备其他文件调用。理论基础①分别利用宏功能模块创建向导法例化两个计数器:一个是十进制计数器,另一个是六进制计数器。②新建一个原理图文件,加入十进制计数器和六进制计数器模块,添加输入输出引脚。1.利用宏功能模块创建向导法可以利用两种方法:向导法和添加元件法。图5.15原理图使用宏功能块向导法③为这个文件建立.bsf文件,以利于其他原理图输入界面下的调用。2.利用添加元器件的方法①新建一个原理图文件,在这个原理图下利用添加元器件的方式例化这两个计数器,并根据需要添加输入输出引脚。图

6、5.16原理图使用宏功能块添加元件法②如果要进行仿真、适配等或者为这个原理图文件生成VHDL文件,需要新建一个工程,把这个文件包含进去,然后进行这些操作。①新建一个工程,命名为counter60,加入两个计数器的设计文件counter6.vhd和counter10.vhd。②为工程新建一个VHDL文本输入文件,利用VHDL把两个计数器设计的源文件组合成一个60进制计数器的VHDL顶层文件,这里用到元件例化语句。③编译。④仿真等。5.2.3参数化宏功能模块在VHDL中的使用①建立一个名为counter60的工程。②新建一个原理图文件,命名为counter60.

7、bdf。5.2.4参数化宏功能模块在混合电路设计中的使用图5.17设计完成后的电路图图5.18模块右键菜单③为counter10模块建立设计源文件。图5.19文件类型选择④单击“OK”按钮,出现VHDL输入界面。QuartusII根据设计好的counter10模块,设计好了VHDL设计的基本架构,你只需要填充你设计的内容就行。⑤为counter6添加设计文件。⑥定制完成后,进行编译、仿真、配置等工作。5.3MegaCore的安装及使用实例5.3.1MegaCore的安装5.3.2MegaCore的使用实例①解压AlteraIP7.2。AlteraIP7.2是

8、以压缩文件的形式给出的,使用之前需要解压。②运行安装

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。